Max of two numbers X37473


Statement
 

pdf   zip   verilog

html

Design a circuit receives two 3-bit numbers at the input and produces the maximum of both numbers at the output.

Specification

module max3(a, b, max); input [2:0] a, b; output [2:0] max;

Input

  • a and b are the two 3-bit input numbers.

Output

  • max is the 3-bit output number.
Information
Author
Jordi Cortadella
Language
English
Official solutions
Unknown. This problem is being checked.
User solutions
Verilog