Full adder X31980


Statement
 

pdf   zip   verilog

html

Design a full adder. A full adder is a circuit that performs a 1-bit addition receiving an input carry and generating an output carry.

Specification

module full_adder(a, b, cin, sum, cout); input a, b, cin; output sum, cout;

Input

  • a and b are the two inputs.
  • cin is the input carry.

Output

  • sum is the sum of the two bits and the input carry.
  • cout is the output carry.
Information
Author
Jordi Cortadella
Language
English
Official solutions
Unknown. This problem is being checked.
User solutions