Half adder X27385


Statement
 

pdf   zip   verilog

html

Design a half adder that is a circuit that performs the addition of two binary digits, a and b. It outputs the sum and the output carry.

Specification

module halfadder(a, b, sum, carry); input a, b; output sum, carry;

Input

  • a and b are the two input values.

Output

  • sum is the least significant digit of a + b.
  • carry is the output carry, i.e., the most significant digit of a + b.
Information
Author
Javier de San Pedro Martín
Language
English
Official solutions
Unknown. This problem is being checked.
User solutions
Verilog