Shift left X26035


Statement
 

pdf   zip   verilog

html

Design a circuit that shifts an N-bus one position to the left. N must be a parameter of the circuit with default value 8.

Specification

module shift_left(in, out); parameter N=8; input [N-1:0] in; output [N-1:0] out;

Input

  • in is the N-bit input bus.

Output

  • out is the value of the bus shifted one position to the left.
Information
Author
Jordi Cortadella
Language
English
Official solutions
Unknown. This problem is being checked.
User solutions
Verilog