4-bit counter X16320


Statement
 

pdf   zip   verilog

main.dvi
background image

Jutge.org

The Virtual Learning Environment for Computer Programming

4-bit counter

X16320 en

Design a circuit that implements a 4-bit counter (mod 16). The counter must start at zero

after reset and increase the value at each cycle.

The top module must be called

counter4

.

module

counter4(countclk rst );

input

clk rst ;

output

[3:0] count;

Input

• clk is the clock signal.

• rst is the synchronous reset signal.

Output

• count is the 4-bit output of the counter.

Problem information

Author : Jordi Cortadella

Generation : 2013-07-17 16:32:09

© Jutge.org, 2006–2013.

http://www.jutge.org

Information
Author
Jordi Cortadella
Language
English
Official solutions
Unknown. This problem is being checked.
User solutions