Documentation

Icarus Verilog
Compiler
Verilog
Name
Icarus Verilog
Language
Verilog
Program and version
/bin/sh: 1: /usr/local/bin/iverilog-0.8: not found
Description
Icarus Verilog
Type
interpreter
Flags1
-t vvp
Flags2
Extension
.v
Status
Currently uninstalled. Will be fixed soon.

P68688
module hello();
  initial $display("Hello world!");
endmodule